Simulateur à source ouvert pour le langage VHDL. Plus d'informations : https://ghdl.github.io/ghdl/.
ghdl -a {{fichier.vhdl}}
design
est le nom d'une unité de configuration, d'entité, ou d'architecture) :ghdl -e {{design}}
ghdl -r {{design}}
ghdl -r {{design}} --wave={{sortie.ghw}}
ghdl -s {{fichier.vhdl}}
ghdl --help