VHDL 언어용 오픈 소스 시뮬레이터. 더 많은 정보: https://ghdl.github.io/ghdl/.
ghdl -a {{파일이름.vhdl}}
design
ghdl -e {{디자인}}
ghdl -r {{디자인}}
ghdl -r {{디자인}} --wave={{output.ghw}}
ghdl -s {{파일이름.vhdl}}
ghdl --help