ghdl.md 633 B

ghdl

VHDL ์–ธ์–ด์šฉ ์˜คํ”ˆ ์†Œ์Šค ์‹œ๋ฎฌ๋ ˆ์ดํ„ฐ. ๋” ๋งŽ์€ ์ •๋ณด: https://ghdl.github.io/ghdl/.

  • VHDL ์†Œ์Šค ํŒŒ์ผ์„ ๋ถ„์„ํ•˜๊ณ  ๊ฐœ์ฒด ํŒŒ์ผ์„ ์ƒ์„ฑ:

ghdl -a {{ํŒŒ์ผ์ด๋ฆ„.vhdl}}

  • ์„ค๊ณ„๋ฅผ ์ •๊ตํ™” (์—ฌ๊ธฐ์„œ design์€ ๊ตฌ์„ฑ ๋‹จ์œ„, ์—”ํ„ฐํ‹ฐ ๋‹จ์œ„ ๋˜๋Š” ์•„ํ‚คํ…์ฒ˜ ๋‹จ์œ„์˜ ์ด๋ฆ„):

ghdl -e {{๋””์ž์ธ}}

  • ์ •๊ตํ•œ ๋””์ž์ธ ์‹คํ–‰:

ghdl -r {{๋””์ž์ธ}}

  • ์ •๊ตํ•œ ์„ค๊ณ„๋ฅผ ์‹คํ–‰ํ•˜๊ณ  ์ถœ๋ ฅ์„ ํŒŒํ˜• ํŒŒ์ผ๋กœ ๋คํ”„:

ghdl -r {{๋””์ž์ธ}} --wave={{output.ghw}}

  • VHDL ์†Œ์Šค ํŒŒ์ผ์˜ ๊ตฌ๋ฌธ์„ ํ™•์ธ:

ghdl -s {{ํŒŒ์ผ์ด๋ฆ„.vhdl}}

  • ๋„์›€๋ง ํ‘œ์‹œ:

ghdl --help