VHDL ์ธ์ด์ฉ ์คํ ์์ค ์๋ฎฌ๋ ์ดํฐ. ๋ ๋ง์ ์ ๋ณด: https://ghdl.github.io/ghdl/.
ghdl -a {{ํ์ผ์ด๋ฆ.vhdl}}
design
์ ๊ตฌ์ฑ ๋จ์, ์ํฐํฐ ๋จ์ ๋๋ ์ํคํ
์ฒ ๋จ์์ ์ด๋ฆ):ghdl -e {{๋์์ธ}}
ghdl -r {{๋์์ธ}}
ghdl -r {{๋์์ธ}} --wave={{output.ghw}}
ghdl -s {{ํ์ผ์ด๋ฆ.vhdl}}
ghdl --help